fix build under newer KConfig by bump cmake min version

This commit is contained in:
2024-09-04 19:01:58 +08:00
parent 727a2ec214
commit 9fb3681e3a
1022 changed files with 4414 additions and 1375 deletions

View File

@ -0,0 +1,97 @@
// Examples drawn from https://verilogams.com/refman/basics/index.html
// SCE_V_DEFAULT {0}
/*
* SCE_V_COMMENT {1}
*/
// SCE_V_COMMENTLINE {2}
// multiple
// comment lines
// are folded
//{ explicit folds
// are folded,
//} too
//! SCE_V_COMMENTLINEBANG {3}
//! multiple
//! bang comments
//! are folded
// SCE_V_NUMBER {4}
1'b0
8'hx
8'hfffx
12'hfx
64'o0
0x7f
0o23
0b1011
42_839
0.1
1.3u
5.46K
1.2E12
1.30e-2
236.123_763e-12
// SCE_V_WORD {5}
always
// SCE_V_STRING {6}
"\tsome\ttext\r\n"
// SCE_V_WORD2 {7}
special
// SCE_V_WORD3 {8}
$async$and$array
// SCE_V_PREPROCESSOR {9}
`define __VAMS_ENABLE__
`ifdef __VAMS_ENABLE__
parameter integer del = 1 from [1:100];
`else
parameter del = 1;
`endif
// SCE_V_OPERATOR {10}
+-/=!@#%^&*()[]{}<|>~
// SCE_V_IDENTIFIER {11}
q
x$z
\my_var
\/x1/n1
\\x1\n1
\{a,b}
\V(p,n)
// SCE_V_STRINGEOL {12}
"\n
// SCE_V_USER {19}
my_var
// SCE_V_COMMENT_WORD {20}
// TODO write a comment
module mod(clk, q, reset) // folded when fold.verilog.flags=1
// SCE_V_INPUT {21}
input clk;
// SCE_V_OUTPUT {22}
output q;
// SCE_V_INOUT {23}
inout reset;
endmodule
// SCE_V_PORT_CONNECT {24}
mod m1(
.clk(clk),
.q(q),
.reset(reset)
);

View File

@ -0,0 +1,98 @@
0 400 400 // Examples drawn from https://verilogams.com/refman/basics/index.html
0 400 400
0 400 400 // SCE_V_DEFAULT {0}
0 400 400
2 400 401 + /*
0 401 401 | * SCE_V_COMMENT {1}
0 401 400 | */
0 400 400
2 400 401 + // SCE_V_COMMENTLINE {2}
0 401 401 | // multiple
0 401 401 | // comment lines
0 401 400 | // are folded
0 400 400
2 400 402 + //{ explicit folds
0 402 402 | // are folded,
0 402 400 | //} too
0 400 400
2 400 401 + //! SCE_V_COMMENTLINEBANG {3}
0 401 401 | //! multiple
0 401 401 | //! bang comments
0 401 400 | //! are folded
0 400 400
0 400 400 // SCE_V_NUMBER {4}
0 400 400 1'b0
0 400 400 8'hx
0 400 400 8'hfffx
0 400 400 12'hfx
0 400 400 64'o0
0 400 400 0x7f
0 400 400 0o23
0 400 400 0b1011
0 400 400 42_839
0 400 400 0.1
0 400 400 1.3u
0 400 400 5.46K
0 400 400 1.2E12
0 400 400 1.30e-2
0 400 400 236.123_763e-12
0 400 400
0 400 400 // SCE_V_WORD {5}
0 400 400 always
0 400 400
0 400 400 // SCE_V_STRING {6}
0 400 400 "\tsome\ttext\r\n"
0 400 400
0 400 400 // SCE_V_WORD2 {7}
0 400 400 special
0 400 400
0 400 400 // SCE_V_WORD3 {8}
0 400 400 $async$and$array
0 400 400
0 400 400 // SCE_V_PREPROCESSOR {9}
0 400 400 `define __VAMS_ENABLE__
2 400 401 + `ifdef __VAMS_ENABLE__
0 401 401 | parameter integer del = 1 from [1:100];
2 400 401 + `else
0 401 401 | parameter del = 1;
0 401 400 | `endif
0 400 400
0 400 400 // SCE_V_OPERATOR {10}
0 400 400 +-/=!@#%^&*()[]{}<|>~
0 400 400
0 400 400 // SCE_V_IDENTIFIER {11}
0 400 400 q
0 400 400 x$z
0 400 400 \my_var
0 400 400 \/x1/n1
0 400 400 \\x1\n1
0 400 400 \{a,b}
0 400 400 \V(p,n)
0 400 400
0 400 400 // SCE_V_STRINGEOL {12}
0 400 400 "\n
0 400 400
0 400 400 // SCE_V_USER {19}
0 400 400 my_var
0 400 400
2 400 401 + // SCE_V_COMMENT_WORD {20}
0 401 400 | // TODO write a comment
0 400 400
2 400 401 + module mod(clk, q, reset) // folded when fold.verilog.flags=1
0 401 401 | // SCE_V_INPUT {21}
0 401 401 | input clk;
0 401 401 |
0 401 401 | // SCE_V_OUTPUT {22}
0 401 401 | output q;
0 401 401 |
0 401 401 | // SCE_V_INOUT {23}
0 401 401 | inout reset;
0 401 400 | endmodule
0 400 400
0 400 400 // SCE_V_PORT_CONNECT {24}
2 400 401 + mod m1(
0 401 401 | .clk(clk),
0 401 401 | .q(q),
0 401 401 | .reset(reset)
0 401 400 | );
0 400 0

View File

@ -0,0 +1,97 @@
{2}// Examples drawn from https://verilogams.com/refman/basics/index.html
{0}
{2}// SCE_V_DEFAULT {0}
{0}
{1}/*
* SCE_V_COMMENT {1}
*/{0}
{2}// SCE_V_COMMENTLINE {2}
// multiple
// comment lines
// are folded
{0}
{2}//{ explicit folds
// are folded,
//} too
{0}
{3}//! SCE_V_COMMENTLINEBANG {3}
//! multiple
//! bang comments
//! are folded
{0}
{2}// SCE_V_NUMBER {4}
{4}1'b0{0}
{4}8'hx{0}
{4}8'hfffx{0}
{4}12'hfx{0}
{4}64'o0{0}
{4}0x7f{0}
{4}0o23{0}
{4}0b1011{0}
{4}42_839{0}
{4}0.1{0}
{4}1.3u{0}
{4}5.46K{0}
{4}1.2E12{0}
{4}1.30e{10}-{4}2{0}
{4}236.123_763e{10}-{4}12{0}
{2}// SCE_V_WORD {5}
{5}always{0}
{2}// SCE_V_STRING {6}
{6}"\tsome\ttext\r\n"{0}
{2}// SCE_V_WORD2 {7}
{7}special{0}
{2}// SCE_V_WORD3 {8}
{8}$async$and$array{0}
{2}// SCE_V_PREPROCESSOR {9}
{9}`define{0} {11}__VAMS_ENABLE__{0}
{9}`ifdef{0} {11}__VAMS_ENABLE__{0}
{5}parameter{0} {5}integer{0} {11}del{0} {10}={0} {4}1{0} {11}from{0} {10}[{4}1{10}:{4}100{10}];{0}
{9}`else{64}
{69}parameter{64} {75}del{64} {74}={64} {68}1{74};{64}
{9}`endif{0}
{2}// SCE_V_OPERATOR {10}
{10}+-/=!@#%^&*()[]{}<|>~{0}
{2}// SCE_V_IDENTIFIER {11}
{11}q{0}
{11}x$z{0}
{11}\my_var{0}
{11}\/x1/n1{0}
{11}\\x1\n1{0}
{11}\{a,b}{0}
{11}\V(p,n){0}
{2}// SCE_V_STRINGEOL {12}
{12}"\n
{0}
{2}// SCE_V_USER {19}
{19}my_var{0}
{2}// SCE_V_COMMENT_WORD {20}
// {20}TODO{2} write a comment
{0}
{5}module{0} {11}mod{10}({11}clk{10},{0} {11}q{10},{0} {11}reset{10}){0} {2}// folded when fold.verilog.flags=1
// SCE_V_INPUT {21}
{0} {21}input{0} {21}clk{10};{0}
{2}// SCE_V_OUTPUT {22}
{0} {22}output{0} {22}q{10};{0}
{2}// SCE_V_INOUT {23}
{0} {23}inout{0} {23}reset{10};{0}
{5}endmodule{0}
{2}// SCE_V_PORT_CONNECT {24}
{11}mod{0} {11}m1{10}({0}
{10}.{24}clk{10}({11}clk{10}),{0}
{10}.{24}q{10}({11}q{10}),{0}
{10}.{24}reset{10}({11}reset{10}){0}
{10});{0}

View File

@ -0,0 +1,62 @@
lexer.*.vh=verilog
keywords.*.vh= \
always and assign automatic \
begin buf bufif0 bufif1 \
case casex casez cell cmos config \
deassign default defparam design disable \
edge else end endcase endconfig endfunction endgenerate endmodule endprimitive endspecify endtable endtask event \
for force forever fork function \
generate genvar \
highz0 highz1 \
if ifnone incdir include initial inout input instance integer \
join \
large liblist library localparam \
macromodule medium module \
nand negedge nmos nor noshowcancelled not notif0 notif1 \
or output \
parameter pmos posedge primitive pull0 pull1 pulldown pullup pulsestyle_ondetect pulsestyle_onevent \
rcmos real realtime reg release repeat rnmos rpmos rtran rtranif0 rtranif1 \
scalared showcancelled signed small specify specparam strong0 strong1 supply0 supply1 \
table task time tran tranif0 tranif1 tri tri0 tri1 triand trior trireg \
unsigned use uwire \
vectored \
wait wand weak0 weak1 while wire wor \
xnor xor
keywords2.*.vh=special
keywords3.*.vh= \
$async$and$array $async$and$plane $async$nand$array $async$nand$plane $async$nor$array $async$nor$plane $async$or$array $async$or$plane \
$bitstoreal \
$countdrivers \
$display $displayb $displayh $displayo \
$dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform \
$dumpall $dumpfile $dumpflush $dumplimit $dumpoff $dumpon $dumpportsall $dumpportsflush $dumpportslimit $dumpportsoff $dumpportson $dumpvars \
$fclose $fdisplayh $fdisplay $fdisplayf $fdisplayb $feof $ferror $fflush $fgetc $fgets $finish $fmonitorb $fmonitor $fmonitorf $fmonitorh $fopen $fread $fscanf $fseek $fsscanf $fstrobe $fstrobebb $fstrobef $fstrobeh $ftel $fullskew $fwriteb $fwritef $fwriteh $fwrite \
$getpattern \
$history $hold \
$incsave $input $itor \
$key \
$list $log \
$monitorb $monitorh $monitoroff $monitoron $monitor $monitoro \
$nochange $nokey $nolog \
$period $printtimescale \
$q_add $q_exam $q_full $q_initialize $q_remove \
$random $readmemb $readmemh $readmemh $realtime $realtobits $recovery $recrem $removal $reset_count $reset $reset_value $restart $rewind $rtoi \
$save $scale $scope $sdf_annotate $setup $setuphold $sformat $showscopes $showvariables $showvars $signed $skew $sreadmemb $sreadmemh $stime $stop $strobeb $strobe $strobeh $strobeo $swriteb $swriteh $swriteo $swrite $sync$and$array $sync$and$plane $sync$nand$array $sync$nand$plane $sync$nor$array $sync$nor$plane $sync$or$array $sync$or$plane \
$test$plusargs $time $timeformat $timeskew \
$ungetc $unsigned \
$value$plusargs \
$width $writeb $writeh $write $writeo
keywords4.*.vh=my_var
keywords5.*.vh=synopsys parallel_case infer_mux TODO
fold=1
fold.compact=0
fold.comment=1
fold.preprocessor=1
fold.at.else=1
fold.verilog.flags=1 # fold module definitions
lexer.verilog.track.preprocessor=1
lexer.verilog.update.preprocessor=1
lexer.verilog.portstyling=1
lexer.verilog.fold.preprocessor.else=1